Njira ndi Zida za Semiconductor (1/7) - Integrated Circuit Production Process

 

1.About Integrated Circuits

 

1.1 Lingaliro ndi kubadwa kwa mabwalo ophatikizika

 

Integrated Circuit (IC): imatanthawuza chipangizo chomwe chimaphatikiza zida zogwira ntchito monga ma transistors ndi ma diode okhala ndi zinthu zopanda pake monga zopinga ndi ma capacitor kudzera munjira zingapo zosinthira.

Dongosolo kapena dongosolo lomwe "lophatikizidwa" pa semiconductor (monga silicon kapena mankhwala monga gallium arsenide) wafer molingana ndi zolumikizira zina zadera ndikuyikidwa mu chipolopolo kuti achite ntchito zinazake.

Mu 1958, Jack Kilby, yemwe ankayang'anira miniaturization ya zida zamagetsi ku Texas Instruments (TI), adapereka lingaliro la mabwalo ophatikizika:

"Popeza zigawo zonse monga ma capacitors, resistors, transistors, ndi zina zotero zitha kupangidwa kuchokera ku chinthu chimodzi, ndimaganiza kuti ndizotheka kuzipanga pazidutswa za semiconductor ndikuzilumikiza kuti zikhale gawo lathunthu."

Pa Seputembara 12 ndi Seputembara 19, 1958, Kilby adamaliza kupanga ndikuwonetsa gawo-shift oscillator ndi trigger, motsatana, kuwonetsa kubadwa kwa dera lophatikizika.

Mu 2000, Kilby adalandira Mphotho ya Nobel mu Fizikisi. Komiti ya Mphotho ya Nobel panthaŵi ina inati Kilby “anayala maziko a umisiri wamakono wa chidziŵitso.”

Chithunzi chomwe chili pansipa chikuwonetsa Kilby ndi patent yake yophatikizika yozungulira:

 

 silicon-base-gan-epitaxy

 

1.2 Kupanga ukadaulo wopanga ma semiconductor

 

Chithunzi chotsatira chikuwonetsa magawo a chitukuko chaukadaulo wopanga semiconductor: cvd-sic-coating

 

1.3 Integrated Circuit Industry Chain

 wouma-kumva

 

Mapangidwe a makina opangira semiconductor (makamaka mabwalo ophatikizika, kuphatikiza zida za discrete) akuwonetsedwa pachithunzi pamwambapa:

- Fabless: Kampani yomwe imapanga zinthu popanda mzere wopanga.

- IDM: Wopanga Zida Zophatikizika, wopanga zida zophatikizika;

- IP: Wopanga gawo lozungulira;

- EDA: Electronic Design Automatic, makina opanga zamagetsi, kampaniyo imapereka zida zopangira;

- Foundry; Wafer foundry, kupereka ntchito zopangira chip;

- Kuyika ndikuyesa makampani oyambira: makamaka akutumikira Fabless ndi IDM;

- Makampani opanga zida ndi zida zapadera: makamaka amapereka zida zofunika ndi zida zamakampani opanga chip.

Zogulitsa zazikulu zomwe zimapangidwa pogwiritsa ntchito ukadaulo wa semiconductor ndi mabwalo ophatikizika ndi zida za semiconductor.

Zogulitsa zazikulu zamagawo ophatikizika ndi awa:

- Magawo Okhazikika Ogwiritsa Ntchito (ASSP);

- Microprocessor Unit (MPU);

- Memory

- Application Specific Integrated Circuit (ASIC);

- Dera la analogi;

- General logic circuit (Logical Circuit).

Zogulitsa zazikulu za zida za semiconductor discrete zikuphatikiza:

- Diode;

- Transistor;

- Chipangizo Chamagetsi;

- High-Voltge Chipangizo;

- Chipangizo cha Microwave;

- Optoelectronics;

- Sensor chipangizo (Sensor).

 

2. Integrated Circuit Manufacturing Process

 

2.1 Kupanga Chip

 

Makumi ambiri kapena masauzande a tchipisi tambiri amatha kupangidwa nthawi imodzi pa chowotcha cha silicon. Kuchuluka kwa tchipisi pa chowotcha cha silicon kumadalira mtundu wa chinthu komanso kukula kwa chip chilichonse.

Zophika za silicon nthawi zambiri zimatchedwa magawo. Kukula kwa zowotcha za silicon zakhala zikuchulukirachulukira kwazaka zambiri, kuchokera ku zosakwana inchi imodzi poyambira kufika pa mainchesi 12 omwe amagwiritsidwa ntchito kwambiri (pafupifupi 300 mm) tsopano, ndipo akusintha kukhala mainchesi 14 kapena mainchesi 15.

Kupanga chip nthawi zambiri kumagawidwa m'magawo asanu: Kukonzekera kwa silicon wafer, kupanga silicon wafer, kuyesa chip / kutola, kusonkhanitsa ndi kuyika, ndikuyesa komaliza.

(1)Kukonzekera kwachitsulo cha silicon:

Kuti apange zopangira, silicon imachotsedwa mumchenga ndikuyeretsedwa. Njira yapadera imapanga ma silicon ingots a diameter yoyenera. Ingotszo zimadulidwa kukhala zowonda za silicon zopangira ma microchips.

Ma Wafers amakonzedwa molingana ndi zofunikira, monga zolembera zam'mphepete mwa kulembetsa ndi kuchuluka kwa kuipitsidwa.

 tac-guide-ring

 

(2)Kupanga zitsulo za silicon:

Zomwe zimatchedwanso kupanga chip, chowotcha cha silicon chopanda kanthu chimafika pamalo opangira silicon wafer kenako ndikudutsa njira zosiyanasiyana zoyeretsera, kupanga mafilimu, kujambula zithunzi, etching ndi masitepe a doping. Chophika cha silicon chokonzedwa chimakhala ndi mabwalo athunthu ophatikizika omwe amazikika mokhazikika pa silicon wafer.

(3)Kuyesa ndi kusankha zowotcha za silicon:

Mukamaliza kupanga zowotcha za silicon, zowotcha za silicon zimatumizidwa kumalo oyesera / kusanja, komwe tchipisi tating'onoting'ono timafufuzidwa ndikuyesedwa ndi magetsi. Tchipisi zovomerezeka ndi zosavomerezeka zimasanjidwa, ndikuyika chizindikiro.

(4)Assembly ndi ma CD:

Pambuyo poyesa / kusanja, zowonda zimalowa mumsonkhano ndi kulongedza tchipisi tating'onoting'ono mu phukusi loteteza chubu. Mbali yakumbuyo ya chowotchayo ndi pansi kuti muchepetse makulidwe a gawo lapansi.

Kanema wokhuthala wa pulasitiki amamangidwira kumbuyo kwa chowotcha chilichonse, ndiyeno tsamba la macheka la diamondi limagwiritsidwa ntchito kulekanitsa tchipisi pamizere yopyapyala yomwe ili kutsogolo kwake.

Filimu yapulasitiki yomwe ili kumbuyo kwa silicon wafer imalepheretsa chip cha silicon kuti chisagwe. Pamalo ophatikizira, tchipisi tabwino timapanikizidwa kapena kuchotsedwa kuti apange phukusi la msonkhano. Pambuyo pake, chipcho chimasindikizidwa mu pulasitiki kapena chipolopolo cha ceramic.

(5)Mayeso omaliza:

Kuonetsetsa kuti chip chikugwira ntchito, dera lililonse lophatikizidwa limayesedwa kuti likwaniritse zofunikira zamagetsi ndi chilengedwe. Pambuyo poyesedwa komaliza, chip chimatumizidwa kwa kasitomala kuti akasonkhane pamalo odzipereka.

 

2.2 Gawo la Njira

 

Njira zophatikizira zamagawo ophatikizika nthawi zambiri zimagawidwa mu:

Kumaso: Njira yakutsogolo nthawi zambiri imatanthawuza kupanga zida monga ma transistors, makamaka kuphatikiza njira zodzipatula, kapangidwe ka zipata, gwero ndi kukhetsa, mabowo olumikizana, ndi zina zambiri.

Kumbuyo-mapeto: Njira yobwerera kumbuyo makamaka imatanthawuza kupanga mizere yolumikizira yomwe imatha kutumiza ma sign amagetsi ku zida zosiyanasiyana pa chip, makamaka kuphatikiza njira monga dielectric deposition pakati pa mizere yolumikizirana, kupanga mizere yachitsulo, ndi mapangidwe otsogolera.

Pakati pa siteji: Pofuna kupititsa patsogolo magwiridwe antchito a transistors, ma node aukadaulo apamwamba pambuyo pa 45nm / 28nm amagwiritsa ntchito ma dielectric pachipata cha k-k ndi njira zachitsulo pachipata, ndikuwonjezera njira zosinthira zipata ndi njira zolumikizirana zam'deralo pambuyo pokonzekera gwero la transistor ndi kukhetsa. Njirazi zili pakati pa ndondomeko ya kutsogolo ndi kumbuyo, ndipo sizigwiritsidwa ntchito muzochitika zachikhalidwe, choncho zimatchedwa njira zapakatikati.

Kawirikawiri, njira yokonzekera dzenje ndi mzere wogawanitsa pakati pa ndondomeko ya kutsogolo ndi kumbuyo.

Contact dzenje: dzenje lokhazikika mu chowotcha cha silicon kuti mulumikize chingwe cholumikizira chitsulo choyamba ndi chipangizo chapansi panthaka. Zimadzazidwa ndi zitsulo monga tungsten ndipo zimagwiritsidwa ntchito kutsogolera electrode ya chipangizo kumalo ogwirizanitsa zitsulo.

Kudzera mu Hole: Ndi njira yolumikizirana pakati pa zigawo ziwiri zoyandikana za mizere yolumikizira zitsulo, yomwe ili mugawo la dielectric pakati pa zigawo ziwiri zazitsulo, ndipo nthawi zambiri imadzazidwa ndi zitsulo monga mkuwa.

M'lingaliro lalikulu:

Njira yakutsogolo: Mwanjira yotakata, kupanga kophatikizana kozungulira kuyeneranso kuphatikiza kuyesa, kuyika ndi njira zina. Poyerekeza ndi kuyesa ndi kuyika, kupanga chigawo ndi kugwirizanitsa ndi gawo loyamba la kupanga chigawo chophatikizika, chomwe chimatchulidwa kuti ndi njira zakutsogolo;

Njira yakumbuyo: Kuyesa ndi kulongedza kumatchedwa njira zomaliza.

 

3. Zowonjezera

 

SMIF: Standard Mechanical Interface

AMHS: Makina Othandizira Zinthu Zopangira

OHT: Kutumiza kwa Overhead Hoist

FOUP: Front Kutsegula Unified Pod, Kupatula 12 inchi (300mm) zowotcha

 

Chofunika koposa,Semicera ikhoza kuperekazigawo za graphite, zofewa/zokhazikika,zida za silicon carbide, Zigawo za CVD silicon carbide,ndiZigawo zokutira za SiC/TaCndi njira yonse ya semiconductor m'masiku 30.Tikuyembekezera mwachidwi kukhala bwenzi lanu kwa nthawi yaitali ku China.

 


Nthawi yotumiza: Aug-15-2024