Phunzirani za silicon kudzera pa (TSV) komanso kudzera muukadaulo wa (TGV) m'nkhani imodzi

Ukadaulo wamapaketi ndi imodzi mwazinthu zofunika kwambiri pamakampani a semiconductor. Malinga ndi mawonekedwe a phukusi, zikhoza kugawidwa mu phukusi zitsulo, pamwamba phiri phukusi, BGA phukusi, Chip kukula phukusi (CSP), limodzi Chip gawo phukusi (SCM, kusiyana pakati pa mawaya pa bolodi kusindikizidwa dera (PCB) ndi machesi a board pad ophatikizika (IC), multi-chip module module (MCM, yomwe imatha kuphatikiza tchipisi tambiri), phukusi lawafer level (WLP, kuphatikiza phukusi la fan-out wafer level package (FOWLP), chokwera pang'ono zigawo (microSMD), etc.), phukusi la magawo atatu (micro bump interconnect package, TSV interconnect package, etc.), system package (SIP), chip system (SOC).

Katundu wa Phukusi la lC (13)

Mitundu ya ma CD a 3D imagawika m'magulu atatu: mtundu wokwiriridwa (kukwirira chipangizocho mu waya wosanjikiza wambiri kapena kukwiriridwa mu gawo lapansi), mtundu wagawo logwira ntchito (kuphatikizana kwa silicon wafer: choyamba phatikizani zigawozo ndi gawo laling'ono kuti mupange gawo lapansi logwira ntchito. ; ndiye konzani mizere yolumikizira yamagulu angapo, ndikusonkhanitsira tchipisi kapena zinthu zina pamwamba pake) ndi mtundu wokhazikika (zowotcha za silicon zodzaza ndi zowotcha za silicon, tchipisi zodzaza ndi zowotcha za silicon, ndi tchipisi tokhala ndi tchipisi).

Katundu wa Phukusi la lC (8)

Njira zolumikizirana za 3D zikuphatikiza ma wire bonding (WB), flip chip (FC), kudzera pasilicon kudzera (TSV), wokonda mafilimu, ndi zina zambiri.

TSV imazindikira kulumikizana koyima pakati pa tchipisi. Popeza chingwe cholumikizira choyima chili ndi mtunda waufupi kwambiri komanso mphamvu yayikulu, ndikosavuta kuzindikira miniaturization, kachulukidwe kwambiri, magwiridwe antchito apamwamba, komanso kuyika kwamitundu yosiyanasiyana. Nthawi yomweyo, imatha kulumikizanso tchipisi tazinthu zosiyanasiyana;

pakali pano, pali mitundu iwiri ya teknoloji yopanga ma microelectronics pogwiritsa ntchito njira ya TSV: zopangira maulendo atatu (3D IC integration) ndi ma CD atatu-dimensional silicon (3D Si integration).

Kusiyana kwa mitundu iwiriyi ndikuti:

(1) 3D dera ma CD kumafuna maelekitirodi Chip kukhala tokonzekera tokhala tokhala, ndi tokhala ndi olumikizidwa (kumangidwa ndi kugwirizana, maphatikizidwe, kuwotcherera, etc.), pamene 3D pakachitsulo ma CD ndi interconnection mwachindunji pakati tchipisi (kulumikizana pakati oxides ndi Cu. - Kugwirizana).

(2) Ukadaulo wophatikizira dera la 3D ukhoza kupezedwa polumikizana pakati pa zowotcha (zopaka za 3D, kuyika kwa silicon ya 3D), pomwe kulumikizana kwa chip-to-chip ndi chip-to-wafer kumatheka kokha ndi ma CD a 3D.

(3) Pali mipata pakati pa tchipisi chophatikizika ndi njira yopangira ma 3D, ndipo zida za dielectric ziyenera kudzazidwa kuti zisinthe matenthedwe otenthetsera ndi kukulitsa kwadongosolo kwadongosolo kuti zitsimikizire kukhazikika kwazinthu zamakina ndi zamagetsi; palibe mipata pakati pa tchipisi tophatikizika ndi 3D silicon pakuyika, komanso kugwiritsa ntchito mphamvu, voliyumu, ndi kulemera kwa chip ndizochepa, ndipo magwiridwe antchito amagetsi ndiabwino kwambiri.

Phukusi la lC (10)

Njira ya TSV imatha kupanga njira yowongoka yodutsa pagawo laling'ono ndikulumikiza RDL pamwamba ndi pansi pa gawo lapansi kuti ipange njira yowongolera yamitundu itatu. Chifukwa chake, njira ya TSV ndi imodzi mwamiyala yofunika kwambiri popanga mawonekedwe amtundu wazithunzi zitatu.

Malinga ndi dongosolo pakati pa mapeto a mzere (FEOL) ndi kumbuyo kumapeto kwa mzere (BEOL), ndondomeko ya TSV ikhoza kugawidwa m'njira zitatu zopangira zopangira, zomwe ndi, kudzera poyamba (ViaFirst), kudzera pakati (Via Middle) ndi kudzera munjira yomaliza (Via Last), monga momwe tawonetsera pachithunzichi.

Phukusi la lC (9)

1. Pogwiritsa ntchito etching

Njira yopangira etching ndiye chinsinsi chopangira mawonekedwe a TSV. Kusankha njira yoyenera yolumikizira kumatha kupititsa patsogolo mphamvu zamakina ndi mphamvu zamagetsi za TSV, komanso zokhudzana ndi kudalirika kwathunthu kwa zida za TSV zamitundu itatu.

Pakadali pano, pali ma TSV anayi odziwika bwino pogwiritsa ntchito etching: Deep Reactive Ion Etching (DRIE), etching wet, photo-assisted electrochemical etching (PAECE) ndi laser kubowola.

(1) Deep Reactive Ion Etching (DRIE)

Deep reactive ion etching, yomwe imadziwikanso kuti DRIE process, ndiyo njira yomwe imagwiritsidwa ntchito kwambiri ndi TSV etching, yomwe imagwiritsidwa ntchito kuzindikira TSV kudzera m'mapangidwe omwe ali ndi gawo lalikulu. Njira zachikhalidwe zomangira plasma zimatha kungofikira kuya kwa ma microns angapo, ndi kutsika kotsika komanso kusowa kwa kusankha kwa chigoba. Bosch wapanganso njira zofananira pazifukwa izi. Pogwiritsa ntchito SF6 ngati mpweya wotakasuka ndikutulutsa mpweya wa C4F8 panthawi yotsekera ngati chitetezo cham'mbali, njira yowongoka ya DRIE ndiyoyenera kuyika chiŵerengero chapamwamba. Chifukwa chake, imatchedwanso njira ya Bosch pambuyo pa woyambitsa wake.

Chithunzi chomwe chili pansipa ndi chithunzi cha chiŵerengero chapamwamba chopangidwa ndi etching ndondomeko ya DRIE.

Phukusi la lC (5)

Ngakhale njira ya DRIE imagwiritsidwa ntchito kwambiri munjira ya TSV chifukwa chakuwongolera bwino, kuipa kwake ndikuti kupendekeka kwapambali kumakhala koyipa ndipo makwinya owoneka ngati scallop adzapangidwa. Chilema ichi kwambiri pamene etching mkulu mbali chiŵerengero vias.

(2) Kunyowa kukokera

Etching yonyowa imagwiritsa ntchito kuphatikiza kwa chigoba ndi etching yamankhwala kuti ilowe m'mabowo. Yankho lomwe limagwiritsidwa ntchito kwambiri ndi KOH, lomwe limatha kuyika malo omwe ali pagawo la silicon lomwe silimatetezedwa ndi chigoba, ndikupanga mawonekedwe ofunikira kudzera pabowo. Wet etching ndiye njira yoyambilira yopangira mabowo. Popeza masitepe ake ndi zida zofunika ndizosavuta, ndizoyenera kupanga TSV pamtengo wotsika. Komabe, makina ake opangira mankhwala amatsimikizira kuti bowo lomwe limapangidwa ndi njirayi lidzakhudzidwa ndi mawonekedwe a kristalo a silicon wafer, kupangitsa kuti dzenjelo likhale losasunthika koma likuwonetsa chowoneka bwino chapamwamba komanso chopapatiza pansi. Chilemachi chimachepetsa kugwiritsa ntchito etching yonyowa pakupanga TSV.

(3) Photo-assisted electrochemical etching (PAECE)

Mfundo yofunikira ya photo-assisted electrochemical etching (PAECE) ndi kugwiritsa ntchito kuwala kwa ultraviolet kufulumizitsa kupanga ma electron-hole pairs, potero kufulumizitsa ndondomeko ya electrochemical etching. Poyerekeza ndi njira yomwe imagwiritsidwa ntchito kwambiri ndi DRIE, njira ya PAECE ndiyoyenera kuyika ma ultra-large aspect ratio kudzera m'mabowo akulu kuposa 100: 1, koma choyipa chake ndikuti kuwongolera kwakuya kumakhala kofooka kuposa DRIE, ndipo ukadaulo wake ukhoza amafuna kufufuza kwina ndi kukonza ndondomeko.

Katundu wa Phukusi la lC (6)

(4) Kubowola laser

Ndizosiyana ndi njira zitatu zomwe tafotokozazi. Njira yobowola laser ndi njira yakuthupi. Imagwiritsa ntchito kuwala kwamphamvu kwa laser kusungunula ndikusungunula zinthu zapansi panthaka mdera lomwe latchulidwa kuti lizindikire momwe TSV imapangidwira.

Bowo lopangidwa ndi kubowola kwa laser limakhala ndi chiwopsezo chachikulu ndipo khoma lam'mbali limayima. Komabe, popeza kubowola kwa laser kumagwiritsa ntchito kutentha kwanuko kupanga bowo, khoma la TSV lidzakhudzidwa kwambiri ndi kuwonongeka kwa kutentha ndikuchepetsa kudalirika.

Katundu wa Phukusi la lC (11)

2. Liner wosanjikiza ndondomeko mafunsidwe

Ukadaulo winanso wofunikira pakupangira TSV ndi njira yoyika zinthu za liner layer.

Njira yopangira liner layer imachitika pambuyo pokhazikika pobowo. Wosanjikiza woyikapo amakhala ndi oxide monga SiO2. Liner wosanjikiza amakhala pakati pa kondakitala wamkati wa TSV ndi gawo lapansi, ndipo makamaka amatenga gawo lopatula kutayikira kwa DC. Kuphatikiza pa kuyika oxide, zotchinga ndi zigawo zambewu zimafunikanso kuti kondakitala adzazidwe munjira yotsatira.

Liner layer yopangidwa iyenera kukwaniritsa zofunikira ziwiri izi:

(1) voteji yowonongeka ya wosanjikiza insulating iyenera kukwaniritsa zofunikira zenizeni za TSV;

(2) zigawo zomwe zayikidwa zimagwirizana kwambiri ndipo zimamatira bwino wina ndi mnzake.

Chithunzi chotsatirachi chikuwonetsa chithunzi cha liner layer choyikidwa ndi plasma enhanced chemical vapor deposition (PECVD).

Phukusi la lC (1)

Njira yoyikapo iyenera kusinthidwa molingana ndi njira zosiyanasiyana zopangira TSV. Kwa njira yakutsogolo yodutsa-bowo, njira yolumikizira kutentha kwambiri ingagwiritsidwe ntchito kukonza mtundu wa oxide wosanjikiza.

Chizoloŵezi cha kutentha kwapamwamba kwambiri chikhoza kukhazikitsidwa pa tetraethyl orthosilicate (TEOS) kuphatikizapo ndondomeko ya okosijeni ya matenthedwe kuti ikhale yosasinthasintha kwambiri ya SiO2 insulating layer. Pakatikati podutsa dzenje ndi kubwereranso pabowo, popeza njira ya BEOL yamalizidwa pakuyika, njira yocheperako imafunikira kuti zitsimikizire kuti zimagwirizana ndi zida za BEOL.

Pansi pa chikhalidwe ichi, kutentha kwa deposition kuyenera kukhala 450 °, kuphatikizapo kugwiritsa ntchito PECVD kuyika SiO2 kapena SiNx ngati wosanjikiza.

Njira ina yodziwika bwino ndiyo kugwiritsa ntchito atomic layer deposition (ALD) kuyika Al2O3 kuti mupeze deser insulating layer.

3. Njira yodzaza zitsulo

Njira yodzaza TSV imachitika atangomaliza kuyika liner, yomwe ndi ukadaulo wina wofunikira womwe umatsimikizira mtundu wa TSV.

Zida zomwe zingathe kudzazidwa zikuphatikizapo doped polysilicon, tungsten, carbon nanotubes, etc. malingana ndi ndondomeko yomwe imagwiritsidwa ntchito, koma chodziwika kwambiri chimakhala ndi mkuwa wopangidwa ndi electroplated, chifukwa ndondomeko yake ndi yokhwima ndipo mphamvu yake yamagetsi ndi matenthedwe imakhala yokwera kwambiri.

Malinga ndi kugawanika kwa mlingo wake wa electroplating mu dzenje, ukhoza kugawidwa makamaka mu subconformal, conformal, superconformal ndi njira zapansi-mmwamba electroplating, monga momwe chithunzichi chikusonyezera.

Katundu wa Phukusi la lC (4)

Subconformal electroplating idagwiritsidwa ntchito makamaka koyambirira kwa kafukufuku wa TSV. Monga momwe tawonetsera pa Chithunzi (a), ma Cu ions operekedwa ndi electrolysis amakhazikika pamwamba, pamene pansi ndi insufficiently supplemented, zomwe zimapangitsa kuti electroplating mlingo pamwamba pa dzenje-bowo kukhala apamwamba kuposa pansi pamwamba. Choncho, pamwamba pa dzenjelo lidzatsekedwa pasadakhale lisanadzazidwe kwathunthu, ndipo kusowa kwakukulu kudzapangidwa mkati.

Chithunzi chojambula ndi chithunzi cha conformal electroplating njira zikuwonetsedwa mu Chithunzi (b). Poonetsetsa kuti yunifolomu yowonjezera ya Cu ions, mlingo wa electroplating pa malo aliwonse pabowo ndi wofanana, kotero msoko wokha udzasiyidwa mkati, ndipo void void ndi yaying'ono kwambiri kuposa njira ya subconformal electroplating. amagwiritsidwa ntchito kwambiri.

Pofuna kupititsa patsogolo kudzaza kopanda kanthu, njira ya superconformal electroplating idapangidwa kuti ikwaniritse njira yolumikizira ma electroplating. Monga momwe tawonetsera pa Chithunzi (c), pakuwongolera kuperekedwa kwa ma Cu ions, kudzaza pansi kumakhala kokwera pang'ono kuposa komwe kuli pamalo ena, potero kukhathamiritsa gawo la kudzazidwa kuchokera pansi kupita pamwamba kuti athetse msoko kumanzere. ndi conformal electroplating njira, kuti mukwaniritse kudzaza mkuwa wopanda kanthu.

Njira ya electroplating pansi-mmwamba ikhoza kuonedwa ngati njira yapadera ya njira yapamwamba kwambiri. Pankhaniyi, mlingo wa electroplating kupatula pansi umakanizidwa kufika pa ziro, ndipo electroplating yokha ikuchitika pang'onopang'ono kuchokera pansi mpaka pamwamba. Kuphatikiza pa mwayi wopanda kanthu wa conformal electroplating njira, njirayi imathanso kuchepetsa nthawi yonse ya electroplating, kotero yawerengedwa kwambiri m'zaka zaposachedwa.

4. Njira zamakono za RDL

Njira ya RDL ndiukadaulo wofunikira kwambiri pakuyika kwa magawo atatu. Kupyolera mu njirayi, zitsulo zolumikizira zimatha kupangidwa mbali zonse za gawo lapansi kuti zikwaniritse cholinga chogawanso doko kapena kulumikizana pakati pa mapaketi. Chifukwa chake, njira ya RDL imagwiritsidwa ntchito kwambiri mumafan-in-fan-out kapena 2.5D/3D ma phukusi opangira.

Popanga zida zamitundu itatu, njira ya RDL nthawi zambiri imagwiritsidwa ntchito kulumikiza TSV kuti izindikire zida zamitundu itatu.

Pakali pano pali njira ziwiri zazikulu za RDL. Yoyamba imachokera pa ma polima a photosensitive ndikuphatikizidwa ndi electroplating yamkuwa ndi njira zopangira; ina ikugwiritsidwa ntchito pogwiritsa ntchito njira ya Cu Damasiko yophatikizidwa ndi PECVD ndi ndondomeko ya chemical mechanical polishing (CMP).

Zotsatirazi zikuwonetsa njira zazikuluzikulu za ma RDL awiriwa motsatana.

Katundu wa Phukusi la lC (12)

Njira ya RDL yotengera polima ya photosensitive ikuwonetsedwa pachithunzi pamwambapa.

Choyamba, guluu la PI kapena BCB limakutidwa pamwamba pa chowotcha ndikuzungulira, ndipo mutatha kutentha ndi kuchiritsa, njira ya photolithography imagwiritsidwa ntchito potsegula mabowo pamalo omwe mukufuna, ndiyeno etching imachitidwa. Kenako, pambuyo kuchotsa photoresist, Ti ndi Cu ndi sputtered pa mtanda kudzera thupi nthunzi deposition ndondomeko (PVD) monga chotchinga wosanjikiza ndi wosanjikiza mbewu, motero. Kenako, wosanjikiza woyamba wa RDL amapangidwa pa kuwonekera Ti / Cu wosanjikiza kaphatikizidwe photolithography ndi electroplating Cu njira, ndiyeno photoresist amachotsedwa ndi owonjezera Ti ndi Cu amazikika kutali. Bwerezani njira zomwe zili pamwambazi kuti mupange multilayer RDL. Njira imeneyi pakali pano ikugwiritsidwa ntchito kwambiri m’makampani.

Njira ina yopangira RDL imachokera ku Cu Damasiko, yomwe imaphatikiza njira za PECVD ndi CMP.

Kusiyanitsa pakati pa njira iyi ndi ndondomeko ya RDL yochokera ku polima ya photosensitive ndikuti mu sitepe yoyamba yopanga gawo lililonse, PECVD imagwiritsidwa ntchito kuyika SiO2 kapena Si3N4 ngati gawo lotetezera, ndiyeno zenera limapangidwa pazitsulo zotetezera ndi photolithography. reactive ion etching, ndi Ti/Cu chotchinga/mbewu wosanjikiza ndi kondakitala mkuwa amadonthozedwa motsatana, ndiyeno wosanjikiza kondakitala amapanikizidwa ku makulidwe ofunikira. Njira ya CMP, ndiye kuti, wosanjikiza wa RDL kapena wosanjikiza-bowo amapangidwa.

Chithunzi chotsatirachi ndi chithunzi chojambula ndi chithunzi cha mtanda wa RDL wamitundu yambiri yopangidwa kutengera ndondomeko ya Cu Damasiko. Zitha kuwonedwa kuti TSV imalumikizidwa koyamba ndi dzenje la V01, kenako ndikumangika kuchokera pansi kupita pamwamba mwa dongosolo la RDL1, kudzera pa dzenje la V12, ndi RDL2.

Chigawo chilichonse cha RDL kapena kudzera-bowo chimapangidwa motsatira njira yomwe ili pamwambapa.Popeza ndondomeko ya RDL imafuna kugwiritsa ntchito ndondomeko ya CMP, mtengo wake wopangira ndi wapamwamba kuposa wa ndondomeko ya RDL yochokera pazithunzithunzi za polima, kotero kuti ntchito yake ndi yochepa.

Katundu wa Phukusi la lC (2)

5. Njira zamakono za IPD

Pakupanga zida zamitundu itatu, kuphatikiza kuphatikizika kwachindunji pa MMIC, njira ya IPD imapereka njira ina yaukadaulo yosinthika.

Zida zophatikizika, zomwe zimadziwikanso kuti IPD process, zimaphatikiza zida zilizonse zophatikizira kuphatikiza pa-chip inductors, capacitors, resistors, balun converters, etc. kuyitanidwa mosinthasintha malinga ndi zofunikira zamapangidwe.

Popeza mu njira ya IPD, zipangizo zopanda pake zimapangidwira ndikuphatikizidwa mwachindunji pa bolodi losamutsa, kayendedwe kake kamakhala kosavuta komanso kotsika mtengo kusiyana ndi kaphatikizidwe ka chip cha ICs, ndipo chikhoza kupangidwa mochuluka pasadakhale ngati laibulale ya chipangizo chokhazikika.

Pakupanga zida za TSV zokhala ndi mbali zitatu, IPD imatha kuchepetsa mtengo wazinthu zitatu-dimensional ma CD kuphatikiza TSV ndi RDL.

Kuphatikiza pa ubwino wamtengo wapatali, ubwino wina wa IPD ndi kusinthasintha kwake kwakukulu. Kumodzi mwa kusinthasintha kwa IPD kumawonekera mu njira zosiyanasiyana zophatikizira, monga momwe tawonetsera pa chithunzi pansipa. Kuphatikiza pa njira ziwiri zoyambira zophatikizira mwachindunji IPD mu gawo lapansi la phukusi kudzera munjira ya flip-chip monga momwe zasonyezedwera mu Chithunzi (a) kapena njira yolumikizirana monga ikuwonetsedwa mu Chithunzi (b), gawo lina la IPD lingaphatikizidwe pagawo limodzi. ya IPD monga momwe ziwonetsedwera mu Zithunzi (c)-(e) kuti mukwaniritse mitundu ingapo yophatikizika yazida.

Panthawi imodzimodziyo, monga momwe tawonetsera mu Chithunzi (f), IPD ikhoza kugwiritsidwanso ntchito ngati adapter board kuti ikwirire mwachindunji chip chophatikizirapo kuti amange mwachindunji makina opangira mapepala apamwamba kwambiri.

Katundu wa Phukusi la lC (7)

Mukamagwiritsa ntchito IPD kupanga zida zamitundu itatu, njira ya TSV ndi njira ya RDL zitha kugwiritsidwanso ntchito. Kuthamanga kwa ndondomekoyi kumakhala kofanana ndi njira yomwe tatchulayi pa-chip integration processing, ndipo sichidzabwerezedwa; kusiyana ndikuti popeza chinthu chophatikizika chimasinthidwa kuchokera ku chip kupita ku bolodi la adaputala, palibe chifukwa choganizira momwe zimakhudzira ma CD atatu-dimensional pagawo logwira ntchito komanso wosanjikiza wolumikizana. Izi zimabweretsanso kusinthika kwina kwa IPD: zida zosiyanasiyana zapansi panthaka zitha kusankhidwa mosinthika malinga ndi kapangidwe ka zida zongokhala.

Zida zapansi zomwe zilipo kwa IPD sizimangokhala zida za semiconductor gawo lapansi monga Si ndi GaN, komanso Al2O3 ceramics, zoumba zotentha kwambiri / kutentha kwambiri, magalasi, ndi zina zotero. zida zophatikizidwa ndi IPD.

Mwachitsanzo, mawonekedwe atatu-dimensional passive inductor ophatikizidwa ndi IPD amatha kugwiritsa ntchito gawo lapansi lagalasi kuti apititse patsogolo magwiridwe antchito a inductor. Mosiyana ndi lingaliro la TSV, mabowo opangidwa pagawo lagalasi amatchedwanso kudzera pagalasi (TGV). Chithunzi cha inductor yamitundu itatu chopangidwa kutengera njira za IPD ndi TGV chikuwonetsedwa pachithunzi pansipa. Popeza resistivity wa gawo lapansi galasi ndi apamwamba kwambiri kuposa zipangizo ochiritsira semiconductor zipangizo monga Si, TGV mbali zitatu inductor ndi bwino kutchinjiriza katundu, ndi kuyika kutayika chifukwa cha gawo lapansi parasitic zotsatira pa ma frequency apamwamba ndi kochepa kwambiri kuposa ochiritsira TSV atatu-dimensional inductor.

Katundu wa Phukusi la lC (3)

 

Kumbali ina, ma capacitor achitsulo-insulator-zitsulo (MIM) amathanso kupangidwa pagawo lagalasi IPD kudzera munjira yowonda yoyika filimu, ndikulumikizana ndi cholumikizira chamitundu itatu cha TGV kuti apange mawonekedwe azithunzi atatu azithunzi. Chifukwa chake, njira ya IPD ili ndi kuthekera kokulirapo pakupanga zida zatsopano zamitundu itatu.


Nthawi yotumiza: Nov-12-2024